Mentor Graphics设计和验证工具获TSMC 16nm FinFET生产认证

time : 2018-10-28 12:15       作者:凡亿pcb

Mentor Graphics设计和验证工具获TSMC 16nm FinFET生产认证 威尔逊维尔, 俄勒冈州,2014年4月15日 Mentor Graphics公司(Nasdaq: MENT)今天宣布,其集成电路设计到制造的整套解决方案已获得TSMC 16nm FinFET工艺的设计规则手册(DRM)和1.0版本SPICE模型认证。 该认证包括的工具有Calibre物理验证及可制造性设计(DFM)平台、Olympus-SoC自动布局布线系统、Pyxis定制集成电路设计平台以及Eldo SPICE模拟器。通过使用Olympus-SoC、Calibre产品以实现ARM Cortex-A15 MPCore处理器,Mentor还成功展示了完整的16nm FinFET数字设计流程。随着客户从测试芯片过渡到16nm FinFET设计成果的批量生产,Mentor的16nm解决方案现已能为客户提供全面支持。Olympus-SoC自动布局布线系统使高效设计成为可能,它完整支持所有16nm FinFET的双重曝光(DP)、DRC及DFM规则、宏单元和标准单元的鳍式栅格对齐以及Vt最小面积规则支持。 新流程还支持低电压保持时间修正,互连电阻最小化,信号EM修正和MiM电容提取,以解决时序影响,增加管脚的可访问性及可布线性。Calibre nmDRC平台支持设计团队,以确保他们的设计满足工艺要求。Calibre YieldEnhancer之中的SmartFill功能以及其他的Mentor DFM产品、Calibre LFD和Calibre CMPAnalyzer已获得改进,以满足16FF冗余填充、光刻和CMP模拟的TSMC特定要求。TSMC为Mentor提供了16nm产品的设计工具包,以基于Calibre PERC产品进行可靠性检查。这使客户能够在不考虑IP资源和使用同一个平台的情况下分析和修复如静电释放(ESD)和闩锁(LUP)等问题。为确保对FinFET器件进行准确的电路仿真,Mentor通过与TSMC进行协作,对高性能的Calibre xACT 2.5D、3D提取产品以及Calibre nmLVS的FinFET器件模型予以改进和认证。Pyxis定制集成电路设计平台已扩展至可以处理鳍式栅格,提供鳍式栅格显示,支持保护环,MOS接合规则和设计规则驱动(DRD)布局。对Eldo进行升级,以基于TSMC最新型的BSIM-CMG和TMI模型提供准确的FinFET器件和电路级建模。Mentor Graphics公司硅片设计事业部(Design to Silicon division)副总裁兼总经理Joseph Sawicki表示:我们通过与TSMC的密切合作,确保我们的工具可用于16nm FinFET技术,其中包括与TSMC一同持续优化Calibre设计规则文件,以缩短开发周期。经过共同开发设计出可以满足16nm FinFET技术要求的产品,我们将学习曲线降至最低限度,并让设计师利用TSMC的协作来创造其产品的差异化价值。TSMC设计建构营销部(Design Infrastructure Marketing Division)资深总监Suk Lee表示:TSMC和Mentor之间长久的合作关系可以满足我们16nm FinFET的设计需求,同时,针对积极的技术路线图持续发布即时的产品解决方案。在每一个新的节点上,我们再次得以证明,开放式创新平台中的生态系统协作是驱动半导体设计产业创新的关键所在。