温度传感器IC轻松解决温度感测难题

time : 2018-07-24 13:38       作者:凡亿pcb


自进入IC设计时代,集成电路(IC)温度传感器不经意就成为器件设计的一部分。IC设计人员历经波折,试图将温度对芯片系统的影响减到最小。峰回路转,一位IC设计师突然有了一个绝妙的想法:何不积极开拓利用有源电路p-n结的温度行为,而不是局限于绞尽脑汁将其影响最小化。而将数字功能集成到同一芯片的设计师更是脑洞大开,正是他们孕育出目前的温度传感器IC。集成的温度传感器可以轻松解决-55至200oC温度范围内的大部分温度感测难题。输入端温度传感器IC的输入是环境温度。换句话说,封装周围的环境温度会改变内部晶体管的行为(图1)。图1:这一概念电路显示了匹配的晶体管如何检测温度。温度感应设计通过巧妙的配置和计算来消除晶体管饱和电流(IS)的影响。使用恒流源(IC)以及晶体管和等同晶体管阵列之间的开关很容易控制饱和电流。在图1中,我们看到VBE 和VBE(N)之间的差是如何轻松对应温度变化的。公式(1)显示了晶体管基极-发射极电压VBE的值。 公式( 1)其中:k是玻耳兹曼常数,等于1.38×10-23J/K;q等于1.6021765×10-19C;T是以K为单位的温度。公式(2)显示了许多并联晶体管的基极-发射极的VBE(N)值。 公式(2)如果将电流源IC从一条引脚切换到另一条引脚,则公式(3)显示了这两个基极-发射极电压之间的差。公式(3)通过计算,得出:CONSTANT=k × ln(N)/q 或86.25×10-6 × ln(N)。从概念上讲,它让你知道如何在IC级快速测量温度。对图1中的电路做少许改进,IC温度感测精度可高达±0.4oC。输出端现在我们有了准确的温度读数,如何向外界呈现此最终数值很重要。显示温度数据有两种基本方法:模拟电压或数字值。模拟电压输出非常容易读取。使用适当的温度感应装置,你可以捕获模拟信号,将其转换为数字表示或回馈到电路中的某个点。温度传感器的数字输出能力更有趣,有许多输出类型可选,但主要是1线、2线或3线输出。1线数字输出可提供脉宽调制(PWM)的脉冲计数信号或简单的阈值/开关信号。这两种信号在风扇控制电路中都很有用。2线数字输出提供I2C或SMBus信号。数字结果是内部模数转换器的副产品。你还可以看到代表阈值温度和可能的错误条件的数字输出。3线数字输出提供一个SPI接口。温度传感器件的晶圆级封装每个产品都有从粗陋到精细的发展过程,温度传感器系列也在不断改进。该产品系列接下来将在器件封装的尺寸上有巨大突破。最新温度传感器件的外壳采用晶圆级封装(WLP)。1998年,桑迪亚国家实验室和富士通开发了WLP。该封装在切割工艺之前,已完成晶圆级制造,其组装以标准的表面贴装技术(SMT)实现。这种封装技术带来了超小型封装外形和低θ结-环境值。这一代温度传感器的尺寸使采用标准0603封装的标准0.1μF电容相形见绌(图2)。图2:WLP温度传感器(U1/MAX31875)尺寸比SMT的0.1μF电容(C1)小。在饭桌上因为新封装尺寸小,你可以将温度传感器任意放置在PCB上,就像你做晚餐时撒盐和胡椒面一样。最新一代的温度传感器可以在仅占0.76mm2 PCB面积的封装内实现±0.4oC的精度。那么,明天上什么菜?《电子技术设计》2018年1月刊版权所有,转载请注明来源及链接。